ALD/CVD Metal Precursors US$640M Market Booming to US$910M in 2024: Both advanced logic and memory growing demand

San Diego, CA, April 5, 2021: TECHCET—the electronic materials advisory firm providing business and technology information—announces that the global market for atomic-layer deposition (ALD) and chemical-vapor deposition (CVD) metal precursors for semiconductor manufacturing in 2020 was worth ~US$640M. Driven by advanced logic and 3D-NAND memory chip fabrication needs, demand for ALD and CVD metal precursors is forecast to see a 2020-2024 compound annual growth rate (CAGR) of ~10% as shown in the Figure (below) from the most recent quarterly update to TECHCET’s Critical Materials Report (CMR) on CVD, ALD, and SOD Precursors.

In the short term forecast, TECHCET sees the global semiconductor market as very healthy with 15-20% Year-over-Year (YoY) growth in 2021 revenues, and overall critical materials market growth >7% YoY. The greatest material demand increases are seen in ALD/CVD metal precursors, and in specialty wet cleans that often are integrated with metal deposition and etching.

All ALD/CVD metal precursors are in healthy demand, since ALD is critical for fabs running 22-45nm nodes as well as for fabs at the leading edge. In particular, cobalt (Co) and hafnium (Hf) precursors saw 18-20% year-over-year (Y0Y) growth in 2020, and are forecasted to see strong demand through 2024. Ruthenium (Ru) metal is slowly replacing some of the Co and tungsten (W) interconnects on the most advanced logic chips, with anticipated precursor revenue growth to US$10M in 2025 for this highly strategic material.

ALD materials and Original Equipment Manufacturer (OEM) tools are needed for multi-patterning lithography used with Deep-UV (DUV) Immersion and with Extreme-UV (EUV) in leading logic and memory fabs. Multi-patterning typically uses low-temperature Plasma-Enhanced ALD (PEALD), either in clusters of 8-16 single-wafer chambers, or in “Spatial” high-throughput tools. TECHCET anticipates that fab investments in Asia will allow South Korean and Chinese OEMs to win near-term ALD orders, and they may soon compete with US, EU, and Japanese OEMs in the global tool market. For more information on the impact of global fab capacity expansions on the regional demands for critical materials, please contact TECHCET at cmcinfo@techcet.com.

Critical Materials Reports™ and Market Briefings: https://techcet.com/product/high-kald-precursors/

2021 Critical Materials Council (CMC) Conference, happening April 14-15 in virtual space, includes presentations on ALD/CVD : https://cmcfabs.org/cmc-conference-2021/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact info@cmcfabs.org, +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.