Wet Chemicals Market to Make an Upward Turn in 2024

Chemical consumption to increase for leading-edge tech and fab expansions San Diego, CA, December 4, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — sees the semiconductor wet chemicals market contracting slightly in 2023, followed by a 6% rebound in 2024. The future ramp will be largely driven by growth in chemical consumption from leading-edge device technology, especially as expansion…

Read More

Semiconductor Ceramic Parts Market to Rebound in 2024

New investments in CVD SiC expected from equipment component suppliers San Diego, CA, November 22, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — has announced that the consumable ceramic equipment parts market will contract by -5% in 2023 to US$2.5 billion. Ceramic fabricated parts, including alumina (Al2O3), aluminum nitride (AlN), silicon carbide (SiC), and chemical vapor deposition SiC (CVD-…

Read More

2023 CMC Seminar Unveils Semiconductor Industry Hurdles

Materials supply chains logistics, quality, and metrology complexities San Diego, CA, November 7, 2023: The 2023 Critical Materials Council (CMC) Seminar in Taichung, Taiwan centered on, “Future-Proofing the Supply Chain,” as introduced by keynote speaker Donghui Lu, Corporate VP at Micron Taiwan. Mr.Lu’s presentation touched upon the risks and inefficiencies in global semiconductor materials sourcing and ultimately called for the tightening of the supply chain through three key actions:…

Read More

US Chip Expansions in Need of Significant Materials Support

Domestic chemical demand to leap through 2027, though supply is not prepared San Diego, CA, October 31, 2023:  TECHCET — the electronic materials advisory firm providing market and supply-chain information — is forecasting US demand for the bulk chemical sector to increase by a combined 75% by 2027. The anticipated demand jump is due to announced fab expansions coming on-line and increasing wafer start capacities. Over the next 4…

Read More

Growing Supply Chain Risks for Germanium and Gallium

How can the US stabilize Ge/Ga supply to support high semiconductor demand? San Diego, CA, October 12, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — has uncovered a significant supply chain risk for germanium and gallium for the global semiconductor market. Both germanium and gallium are critical metals essential to producing RF and sensor devices, 5G, IT communications, and…

Read More

Semiconductor Supply Chain Problems Running Rampant?

Solutions to mitigate future materials supply vulnerabilities By Lita Shon-Roy, MS/MBA, and Sachi Brown, TECHCET CA Over the past 2 to 3 years, the semiconductor industry has faced extreme pressure to meet growing consumer demand for an abundance of everyday electronic products like cars, smartphones, and computers. This pressure has only been amplified by various supply chain issues stemming from the raw material sources that are essential to building…

Read More

Metal Plating Chemicals Revenues to Boost into 2024

Growth driven by developments in leading edge logic and memory San Diego, CA, August 31, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — reports that revenues for the Semiconductor Metal Plating Chemicals market will rise to USD $1,047M in 2024, a 5.6% increase from the forecasted USD $992M for 2023. The largest revenues for 2024 are forecasted for copper…

Read More

Silicon Fabricated Parts Market Forecasting High Growth

Increased layer technology and OLED growth driving demand for silicon parts San Diego, CA, August 22, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting revenues for Silicon Fabricated Parts to decrease by 5% in 2023, reaching a total of US$856 million. This slowdown is due to overall downtrends within the semiconductor industry, alongside lower numbers of wafer…

Read More

Semiconductor Sputtering Targets & Metal Markets Status

Supply chain contraction will persist before rebounding in 2024 San Diego, CA, August 10, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — states that the Semiconductor Sputtering Target market will contract to US$1.29 billion in 2023 due to the industry-wide slowdown and lower wafer starts. This decline comes after a strong year in 2022, which grew 8% over 2021…

Read More

2023 ALD/ALE Conference Highlights Exciting Progress in ALD/ALE

By Karey Holland, Ph.D., TECHCET The 2023 ALD/ALE Conference in Bellevue, WA, which was just completed July 26, featured new research on ALD/ALE processes. Key areas of interest were (1) ALD of materials for emerging semiconductor devices, (2) ALE for challenges structures, and (3) precursors for metal oxide EUV negative tone photoresists. The conference also covered areas related to solar cells, nanoparticles, thermal and others on plasma, and other…

Read More

0

Your Cart