Member Blog Posts

DateAuthorCategoriesTitleContent
2024/04/24Wet ChemicalsSemiconductor Wet Chemicals Growth UnderwaySuppliers remain “expansion-ready” for incoming fabs San Diego, CA, April 24, 2024:  TECHCET — the advisory firm providing materials market …2024-04-24 20:23:53
2024/04/03Metal ChemSemiconductor Metal Plating Chemicals to Top $1 BillionGrowth Driven by Advanced Logic Nodes and Advance Packaging San Diego, CA, April 3, 2024:  TECHCET — the advisory firm …2024-04-03 21:34:44
2024/03/14AllGlobal Semiconductor Materials Market Set to Achieve Record HighsSan Diego, CA, March 14, 2024:  TECHCET — the advisory firm providing materials market information for semiconductor supply chain resilience …2024-03-14 17:34:49
2024/02/29Silicon WafersSilicon Wafers Market – Growth on the Horizon 2024-2028Wafer shipments expected to pick up in late 2024 San Diego, CA, February 29, 2024:  TECHCET — the advisory firm …2024-02-29 19:51:53
2024/02/20AllTECHCET Welcomes Mike Walden as New Senior DirectorSan Diego, CA, February 20, 2024:  TECHCET — the advisory firm providing materials market & supply chain information for the …2024-02-20 19:45:42
2024/02/16AllSemiconductor Revenue ForecastAfter decline of -13%, TECHCET reports consecutive YoY double-digit growth San Diego, CA, February 16, 2024:  TECHCET — the advisory …2024-02-16 17:01:48
2024/02/06Consumable Equipment Components, Quartz, Silicon PartsQuartz, Ceramic, and Silicon Equipment ComponentsSemiconductor fab expansion met with pause before strong rise in 2025 San Diego, CA, February 6, 2024:  TECHCET — the …2024-02-06 18:42:45
2024/01/23GasesElectronic Gases Market Aimed for Rebound Despite ChallengesHouthi rebel attacks and geopolitical issues raising inflation and energy prices San Diego, CA, January 23, 2024:  TECHCET — the …2024-01-23 21:15:14
2024/01/10CMP ConsumablesFuture Market Trends for CMP Consumables Point UpwardsIncreasing CMP Process Steps Driving High Growth for CMP Consumables San Diego, CA, January 10, 2024:  TECHCET — the advisory …2024-01-10 18:25:53
2024/01/03US ImpactChemical Suppliers Struggle to Keep Up with US Expansion PlansLong-term US semiconductor growth is certain, but short-term chaos is likely San Diego, CA, January 3, 2024:  TECHCET — the …2024-01-03 19:22:08
2023/12/08AllSemiconductor Materials Market – Better Things to Come in 2024Despite downturn in 2023, material demand and market growth are on the rise San Diego, CA, December 8, 2023:  TECHCET …2023-12-08 21:29:58
2023/12/04Wet ChemicalsWet Chemicals Market to Make an Upward Turn in 2024Chemical consumption to increase for leading-edge tech and fab expansions San Diego, CA, December 4, 2023:  TECHCET — the electronic …2023-12-04 17:56:06
2023/11/22Ceramics, Consumable Equipment ComponentsSemiconductor Ceramic Parts Market to Rebound in 2024New investments in CVD SiC expected from equipment component suppliers San Diego, CA, November 22, 2023:  TECHCET — the electronic …2023-11-22 17:43:01
2023/11/07All, Conference Highlights2023 CMC Seminar Unveils Semiconductor Industry HurdlesMaterials supply chains logistics, quality, and metrology complexities San Diego, CA, November 7, 2023: The 2023 Critical Materials Council (CMC) …2023-11-07 17:19:52
2023/10/31US Impact, Wet ChemicalsUS Chip Expansions in Need of Significant Materials SupportDomestic chemical demand to leap through 2027, though supply is not prepared San Diego, CA, October 31, 2023:  TECHCET — …2023-10-31 16:24:23
2023/10/12Germanium and GalliumGrowing Supply Chain Risks for Germanium and GalliumHow can the US stabilize Ge/Ga supply to support high semiconductor demand? San Diego, CA, October 12, 2023:  TECHCET — …2023-10-12 20:18:04
2023/09/28AllSemiconductor Supply Chain Problems Running Rampant?Solutions to mitigate future materials supply vulnerabilities By Lita Shon-Roy, MS/MBA, and Sachi Brown, TECHCET CA Over the past 2 …2023-09-28 00:12:17
2023/08/31Metal ChemMetal Plating Chemicals Revenues to Boost into 2024Growth driven by developments in leading edge logic and memory San Diego, CA, August 31, 2023:  TECHCET — the electronic …2023-08-31 15:17:10
2023/08/22Silicon PartsSilicon Fabricated Parts Market Forecasting High GrowthIncreased layer technology and OLED growth driving demand for silicon parts San Diego, CA, August 22, 2023:  TECHCET — the …2023-08-22 16:37:27
2023/08/10Sputtering TargetsSemiconductor Sputtering Targets & Metal Markets StatusSupply chain contraction will persist before rebounding in 2024 San Diego, CA, August 10, 2023:  TECHCET — the electronic materials …2023-08-10 17:23:47
2023/08/04All2023 ALD/ALE Conference Highlights Exciting Progress in ALD/ALEBy Karey Holland, Ph.D., TECHCET The 2023 ALD/ALE Conference in Bellevue, WA, which was just completed July 26, featured new …2023-08-04 21:20:20
2023/08/03Photoresist and Ancillaries2024 Photoresist Market Forecasted to RiseEUV and KrF Growing as Advanced Logic and Memory Increase San Diego, CA, August 3, 2023:  TECHCET — the electronic …2023-08-03 17:50:41
2023/07/26CVD and ALD PrecursorsMaterials “Sweet-spot” – ALD/CVD Precursor Supply ChainMo may replace HVM applications, which could reduce future WF6 supply strains San Diego, CA, July 26, 2023:  TECHCET — …2023-07-26 17:04:26
2023/07/20Silicon WafersSilicon Wafers Supply Swinging Back to Positive for 2023Revenues for SOI Wafers remain stable, with high growth forecasted ahead San Diego, CA, July 20, 2023:  TECHCET — the …2023-07-20 17:07:43
2023/07/12All2023 Semiconductor Materials Market Slowing but ResilientMaterial growth to resume in 2024 as industry recovers and fabs ramp up San Diego, CA, July 12, 2023:  TECHCET …2023-07-12 16:40:32
2023/06/27Metal ChemSemiconductor Metal Plating Chemicals Revenues Slowing in 2023Increases in Interconnect Layers and Advanced Packaging Use to Revamp Growth San Diego, CA, June 27, 2023:  TECHCET — the …2023-06-27 16:49:08
2023/06/15QuartzFollowing All-Time Highs of 2022, Semiconductor Quartz Equipment Components Expected to be Down in 2023San Diego, CA, June 15, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor …2023-06-15 17:24:43
2023/06/06CMP ConsumablesCMP Consumables Facing Market Correction after Strong 2022Advance logic developments drive new CMP consumables opportunities San Diego, CA, June 6, 2023:  TECHCET — the electronic materials advisory …2023-06-06 20:33:13
2023/06/01GasesElectronic Gases Demand to Increase as Semiconductor Fab Expansions Move ForwardCritical gases like B2H6 and WF6 may face supply constraints San Diego, CA, June 1, 2023:  TECHCET — the electronic …2023-06-01 18:38:21
2023/05/24Wet ChemicalsSemiconductor Chemical Revenues Fall as Energy Prices RiseWet Chemical market decline follows wafer start slowdown San Diego, CA, May 24, 2023:  TECHCET — the electronic materials advisory …2023-05-24 17:29:32
2023/05/16CVD and ALD PrecursorsALD/CVD Precursors – Better Times AheadMarket expected to rebound with memory pricing recovery San Diego, CA, May 16, 2023:  TECHCET — the electronic materials advisory …2023-05-16 20:46:07
2023/05/09Silicon CarbideSilicon Carbide (SiC) Wafer Supply Gets SqueezedGrowing Demand Persists as Silicon Wafers Reach Its Limits San Diego, CA, May 9, 2023:  TECHCET — the electronic materials …2023-05-09 17:51:54
2023/04/13AllUS CHIPS Act- Opportunities and Issues for the US semiconductor industryBy Dan Tracy, Sr. Director, TECHCET San Diego, CA, April 13, 2023: Countries around the world view semiconductor manufacturing as …2023-04-13 19:16:49
2023/03/30Packaging MaterialsNew Global Semiconductor Packaging Materials Outlook – US$26 Billion market to approach US$30 Billion by 2027San Diego, CA, March 30, 2023:  TECHCET and TechSearch International, Inc. recently announced that the market for Semiconductor Packaging Materials …2023-03-30 16:43:10
2023/03/22GasesElectronic Gas Markets Show Minor Contraction on the Heels of Solid GrowthSan Diego, CA, March 22, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply …2023-03-22 14:23:43
2023/03/07Photoresist and AncillariesSemiconductor Lithography Materials Trending Upwards2023 economic slowdown to cause a short-term dip on photoresists & ancillaries San Diego, CA, March 7, 2023:  TECHCET— the …2023-03-07 16:48:54
2023/03/01All2023 CMC Conference to Host Intriguing Lineup of SpeakersFeaturing talks on current trends, issues, and new technologies for the semiconductor materials supply chain San Diego, CA, March 1, …2023-03-01 07:53:16
2023/02/28Wet ChemicalsSemiconductor Wet Chemicals 1H2023 Trending DownAlthough 200+ new layer chip offerings are expected in 2023 San Diego, CA, February 28, 2023:  TECHCET— the electronic materials …2023-02-28 00:43:40
2023/02/23CMP ConsumablesCMP Pads & Slurries to Slump with Overall Semiconductor Market in 2023Supply chain issues easing but still some delays for sub-assemblies and parts San Diego, CA, February 23, 2023:  TECHCET— the …2023-02-23 14:32:52
2023/02/15CMP AncillariesCMP Equipment “Ancillaries” Poised for GrowthIncreases Driven by 3DFinFET and X-Stack 3DNAND San Diego, CA, February 15, 2023:  TECHCET— the electronic materials advisory firm providing …2023-02-15 02:29:59
2023/02/06All8th Annual CMC Conference in Round Rock, TexasJoin chip fabricators and suppliers in discussing current trends and issues for the semiconductor materials supply chain San Diego, CA, …2023-02-06 23:14:16
2023/01/31Sputtering TargetsSemiconductor Sputter Targets Forecasting Decline in 2023Suppliers will benefit from slowdown as tight supply will be alleviated San Diego, CA, January 31, 2023:  TECHCET— the electronic …2023-01-31 17:23:20
2023/01/24Consumable Equipment Components, QuartzQuartz Components Market Expecting Slight Decline in 2023Decline may vary in intensity depending on region and ongoing fab expansions San Diego, CA, January 24, 2023:  TECHCET— the …2023-01-24 23:57:00
2023/01/10Silicon WafersSlowing Industry Conditions Temporarily Eases Supply Strain in 2023 for Silicon and SOI WafersInvestments in increased capacity not expected to alleviate strain until 2024-2025 San Diego, CA, January 10, 2023:  TECHCET—the advisory firm …2023-01-10 22:02:36
2023/01/04Metal Chem2023 Semiconductor Plating for Device and Packaging Expecting Slowdown as Global Economic Conditions WeakenNew Technologies such as Ruthenium and Molybdenum in Barrier Layers May Also Replace Old Plating Standards San Diego, CA, January …2023-01-04 23:48:29
2022/12/13All2022 Semiconductor Materials Market Concludes as Another Solid Year Amid Rising Economic ChallengesSan Diego, CA, December 13, 2022:  TECHCET—the advisory firm providing information on the electronics and semiconductor materials market supply-chain — …2022-12-13 22:18:37
2022/11/30CVD and ALD Precursors, Metal Chem, Sputtering TargetsCVD Deposition, Plating and Sputter Target Materials Looking Strong Despite Threat of Semiconductor Market SlowdownAdvanced packaging and interconnect layers driving growth through ‘26 San Diego, CA, November 30, 2022:  TECHCET—the advisory firm providing information …2022-11-30 18:02:51
2022/11/16All, Wet ChemicalsUS Semiconductor Wet Chemicals Supply-Chain Restructuring to accommodate Chip ExpansionsSupply-demand gaps will exist unless more domestic production is developed San Diego, CA, November 16, 2022:  TECHCET—the advisory firm providing …2022-11-16 20:03:22
2022/11/08AllFab Expansions in Europe Impact Materials Supply ChainCan European Materials Supply Keep up with Growing Demand? San Diego, CA, November 8, 2022:  TECHCET—the advisory firm providing business …2022-11-08 23:36:49
2022/10/25CVD and ALD PrecursorsSemiconductor Advanced Precursors Press ForwardRussia Dependencies on Supply Chain and Looming Recession show minimal impact San Diego, CA, October 25, 2022:  TECHCET—the electronic materials …2022-10-25 20:51:26
2022/10/18CeramicsCeramic Fabricated Parts Market – Positive Demand from OEMs and FabsRestructured Supply Chain Responding with Investment Plans San Diego, CA, October 18, 2022:  TECHCET—the electronic materials advisory firm providing business …2022-10-18 21:56:04
2022/10/11Photoresist and AncillariesNew Tech Ramp for Semiconductor Lithography MaterialsHigh EUV manufacturing and increased layer counts contribute towards growth San Diego, CA, October 11, 2022:  TECHCET—the electronic materials advisory …2022-10-11 08:30:59
2022/10/06CMP ConsumablesICPT 2022 Conference HighlightsIndustry experts collaborate on new and coming science for CMP San Diego, CA, October 6, 2022:  TECHCET—the electronic materials advisory …2022-10-06 22:29:10
2022/10/04AllCHIPS Act Benefits Rolling Out for Material CompaniesTips for making the most out of government benefits for US semiconductor growth San Diego, CA, October 4, 2022:  TECHCET—the …2022-10-04 23:55:28
2022/10/01AllWe Haven’t Yet Solved Our Semiconductor DilemmaBy Lita Shon-Roy, President / CEO, TECHCET and Bonnie Glick, Director, Krach Institute for Tech Diplomacy at Purdue Passage of …2022-10-01 00:02:23
2022/09/28AllCHIPS Act Check List for Materials Companies & Info SlidesSan Diego, CA, September 28, 2022 A. Frequently, check on the following websites for information www.chips.gov www.Grants.gov www.federalregister.gov www.Regulations.gov – …2022-09-28 22:03:33
2022/09/28Wet ChemicalsElectronic Wet Chemicals Price Volatility Sparks ConcernPrice volatility in chemicals used for semiconductors further strains market San Diego, CA, September 27, 2022:  TECHCET—the electronic materials advisory …2022-09-28 20:37:00
2022/09/21CMP ConsumablesCMP Slurry Suppliers Struggle with Balancing Costs and Increased DemandSan Diego, CA, September 20, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— is closely tracking issues …2022-09-21 16:30:34
2022/09/13Metal ChemElectroplating Materials – Any Slowdown in Sight?Advanced logic device expected to drive metal plating market forward San Diego, CA, September 13, 2022:  TECHCET—the electronic materials advisory …2022-09-13 19:02:55
2022/09/08Silicon PartsSemiconductor Equipment Consumables – Silicon Parts, in High Demand with Continued Shift Toward AsiaSan Diego, CA, September 8, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— reports that the demand …2022-09-08 18:16:41
2022/09/04AllUS CHIPS Act Information SourceSan Diego, CA, September 2022 TECHCET is keenly aware of the importance of CHIPS Act on the US semiconductor industry …2022-09-04 21:52:06
2022/08/31GasesSupply Tightening Expected for Specialty Electronic GasesDemand to outpace supply for NF3 and WF6 unless alternatives come into play San Diego, CA, August 31, 2022:  TECHCET—the …2022-08-31 18:47:51
2022/08/25Sputtering TargetsSputtering Targets Driven by Robust Semiconductor DemandMetal supply chains to face increased demand given broader chip applications San Diego, CA, August 25, 2022:  TECHCET—the electronic materials …2022-08-25 21:12:12
2022/08/19AllADVISORY ALERT on CHIPS Act Featuring Washington DC Professionals Hon. Bonnie Glick and Mr. Madison SmithSan Diego, CA, August 19, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information to chip fabricators and …2022-08-19 19:07:54
2022/08/16Silicon WafersSilicon & SOI Wafers – A Record Year Amidst Tightening SupplyNew production expansions needed, but will not take effect until 2024 San Diego, CA, August 16, 2022:  TECHCET—the electronic materials …2022-08-16 17:23:38
2022/08/09AllUS CHIPS ACT Now Approved by President Biden – What’s Next?US CHIPS ACT Now Approved by President Biden – What’s Next? San Diego, CA, August 9, 2022:  TECHCET—the electronic materials …2022-08-09 19:23:11
2022/07/26Photoresist and AncillariesAdvanced Lithography Drives Extension and Ancillary MaterialsWill growth slow enough to meet PFAS environmental challenges? San Diego, CA, July 26, 2022:  TECHCET—the electronic materials advisory firm …2022-07-26 20:12:36
2022/07/18Photoresist and Ancillaries2022 Semiconductor Photoresists – Advanced Nodes RampGrowth continues strong through 2026 San Diego, CA, July 18, 2022:  TECHCET—the electronic materials advisory firm providing business and technology …2022-07-18 23:36:43
2022/07/06All2022 Semiconductor Materials OutlookSupply chain limited by Russia / US CHIPS Act a game changer San Diego, CA, July 6, 2022:  TECHCET—the electronic …2022-07-06 19:45:57
2022/06/27CVD and ALD PrecursorsALD/CVD Precursor Markets – Burgeoning ApplicationsAdvanced Logic and Memory Applications require more deposition materials. San Diego, CA, June 27, 2022:  TECHCET—the electronic materials advisory firm …2022-06-27 16:26:56
2022/06/21Wet ChemicalsSpecialty Cleans Markets – Advanced Nodes Drive GrowthIn the midst of mounting technical challenges for wet chemical suppliers San Diego, CA, June 21, 2022:  TECHCET—the electronic materials …2022-06-21 15:43:27
2022/06/15GasesElectronic Gases Markets – To Approach a US$9 Billion Market in ‘22New materials and increasing chip design complexity drives supply-chain problems for Specialty Gases, Rare Gases and Helium San Diego, CA, …2022-06-15 20:21:43
2022/05/31UncategorizedSemiconductor Quartz Materials Market – Positive DemandStrained but Expansion Plans being Pulled-in San Diego, CA, May 31, 2022:  TECHCET—the electronic materials advisory firm providing business and …2022-05-31 19:08:28
2022/05/24CMP ConsumablesCMP Consumables – Supply is Tight and Costs Expected to Riseas legacy products are replaced by leading edge San Diego, CA, May 24, 2022:  TECHCET—the electronic materials advisory firm providing …2022-05-24 17:41:00
2021/07/13UncategorizedAutomotive Market Driving Growth for Legacy QuartzQuartz Market Is Expected To Reach US$1.66 B in 2021 up ~20% San Diego, CA, July 13, 2021: TECHCET—the electronic …2021-07-13 18:53:39
2021/05/20Gases, Uncategorized2021 Electronic Gas Market to Reach $6.25B up 7%+Supply-chains straining to keep up with demand San Diego, CA, May 20, 2021: TECHCET—the electronic materials advisory firm providing business …2021-05-20 00:00:21
2021/04/05CVD and ALD Precursors, OEMs, UncategorizedALD/CVD Metal Precursors US$640M Market Booming to US$910M in 2024: Both advanced logic and memory growing demandSan Diego, CA, April 5, 2021: TECHCET—the electronic materials advisory firm providing business and technology information—announces that the global market …2021-04-05 12:06:23
2021/03/25Fabs, Photoresist and Ancillaries, Uncategorized, Wet ChemicalsSemiconductor Materials Supply-Chain Shortages COVID-19 Fallout and More to Come from Chip Fab Expansions24 March 2021, San Diego – TECHCET CA LLC’s prediction of a wet chemical supply fallout is materializing. The reduction …2021-03-25 20:25:56
2021/02/23Photoresist and AncillariesPhotoresists Revenues to be Up 11% to US$1.9BTECHCET—the advisory services firm providing electronic materials information—announces that the global market for photoresists needed in commercial semiconductor manufacturing is …2021-02-23 19:06:06
2021/02/18UncategorizedCMP Consumables US$2.9B in 2021 for IC FabsHigh growth in demand for logic and memory 3D metal San Diego, CA, February 17, 2021: TECHCET—the electronic materials advisory …2021-02-18 02:00:30
2021/01/12UncategorizedSemiconductor Materials $50B Market on a Strong Run in 2021Pandemic pushing people to Work From Home and School From Home San Diego, CA, January 12, 2021: TECHCET announced that …2021-01-12 19:59:23
2020/12/21Specialty Cleaning, Wet ChemicalsCMC Considers Bio-Solvents to Reduce Fab RisksSemiconductor Grade IPA Supply Impacted by COVID-19 San Diego, CA – December 21, 2020: The Critical Materials Council (CMC) of …2020-12-21 18:25:13
2020/12/02Specialty Cleaning, Wet ChemicalsSemi Wet Chemicals US$2B Market Threatened by LocalizationSpecialty Cleaning and Etching Changes Could Cause Yield Losses San Diego, CA, December 1, 2020: TECHCET—the electronic materials advisory firm …2020-12-02 17:52:30
2020/11/17Semiconductor Growth and Wafer MarketWet Copper Deposition Materials for ICs and PackagesSteady growth in demand forecasted through 2024 San Diego, CA, November 16, 2020: TECHCET announces that the global market for …2020-11-17 18:35:35
2020/11/06UncategorizedRefreshing Material Advances for Logic, Memory, and Packaging5th CMC Conference “After-Hours” Available up to December 11 San Diego, CA, November 5, 2020: How to keep semiconductor fabs …2020-11-06 23:02:19
2020/10/21UncategorizedCritical Materials – PVD Targets US$1B and Growing StrongIncreasing demand forecasted through 2024 San Diego, CA, October 21, 2020: TECHCET—the electronic materials advisory firm providing business and technology …2020-10-21 19:30:07
2020/09/21UncategorizedSemiconductor Materials Market to Hit $50B in 2020 Up 3%Winds Reverse on the Global Supply-Chain Seas TECHCET announces that 2020 global materials revenues in semiconductor fabrication are now forecasted …2020-09-21 17:59:37
2020/08/28UncategorizedCMP Consumables US$2.5B in 2020 for IC FabsStable world-wide growth in demand forecasted through 2024 San Diego, CA, August 27, 2020: TECHCET—the electronic materials advisory firm providing …2020-08-28 03:02:28
2020/07/29UncategorizedRare Earth Elements Supply Uncertain for IC FabsChina’s de facto monopoly control remains for now San Diego, CA, July 29, 2020: TECHCET—the electronic materials advisory firm providing …2020-07-29 20:20:26
2020/07/01Uncategorized2020 CMC Conference – State of the Art Virtual Engaging5th Annual Materials Event Will Happen in “Virtual Space” San Diego, CA, July 1, 2020: TECHCET and the Critical Materials …2020-07-01 18:51:30
2020/06/30UncategorizedQuartz Parts Market for Semiconductor Fabs Downward Trend Expected to US$1.2BSkilled Labor Shortage for Quartz Tube Fabricator Concerns TECHCET—the electronic materials advisory firm providing business and technology information—announces that the …2020-06-30 19:07:22
2020/06/03UncategorizedCMC COVID-19 Impact Survey Shows Supply-Chain StrengthPre-registrants for 2020 CMC Conference access COVID-19 Info May 29, 2020: The Critical Materials Council (CMC) of semiconductor fabricators & …2020-06-03 21:09:10
2020/04/28UncategorizedCMC Workshop Flags Looming Shortages of IPA and SulfuricPre-registrants for 2020 CMC Conference access COVID-19 Info These extraordinary times of greater risks call for more information, so the …2020-04-28 19:03:11
2020/04/17UncategorizedChoppy Waters for Shipping $50B of Semiconductor Materials in 2020Risky Sailing on the Global Supply-Chain Seas TECHCET announces that: • 2020 global material revenues in semiconductor manufacturing forecasted to …2020-04-17 21:45:23
2020/03/10Photoresist and AncillariesEUV Materials Small But Strategic Fraction of $1.6B IC Photoresists MarketSan Diego, CA, March 9, 2020: TECHCET announced that the global market for Photoresists and Ancillary Materials declined in 2019 …2020-03-10 19:15:18
2020/02/12UncategorizedMaterials eBlast – Wet Chemicals & Specialty Cleans 2020 Q1TECHCET announced that Fluorite, needed for hydrofluoric acid (HF), prices in China have decrease by 9% over the last several …2020-02-12 20:34:19
2020/01/16UncategorizedSemiconductor Materials growing to nearly $50B Market in 2020 after DownturnLogic fabs leading in capacity and technology investments San Diego, CA, January 14, 2020: TECHCET announced that global revenues for …2020-01-16 19:46:45
2019/11/25UncategorizedCobalt and Nickel Targets Super Strategic for IC FabsSan Diego, November 25, 2019: TECHCET announced that the global market for Physical Vapor Deposition (PVD) Sputter Targets is declining …2019-11-25 19:49:01
2019/11/21Uncategorized2020 CMC Conference & Call For PapersIntel Keynote will lead off April 23–24 event in Hillsboro, Oregon San Diego, CA, November 21: The Critical Materials Council …2019-11-21 18:54:21
2019/11/07UncategorizedPreventing Yield Losses a Key Topic of Critical Materials Council Meetings and SeminarAt the recently concluded TECHCET Critical Materials Council (CMC) Seminar in Taoyuan, Taiwan, a diverse gathering of industry experts discussed …2019-11-07 21:35:05
2019/10/22UncategorizedCVD SiC Strong Amid Weak Demand for Structural Ceramics in OEM Tools in DownturnSan Diego, CA, October 17, 2019: TECHCET—the advisory services firm providing electronic materials information— announced that the 2019 global market …2019-10-22 19:58:54
2019/10/11UncategorizedChinese and Korean Materials Suppliers Profiting from Japanese PoliticsSan Diego, CA, October 10, 2019: TECHCET—the advisory services firm providing electronic materials information—announced that Chinese materials suppliers are moving …2019-10-11 20:36:07
2019/10/03CVD and ALD PrecursorsGlobal Market Remains Strong for ALD & CVD Precursors in IC FabsSan Diego, CA, October 2, 2019: TECHCET—the advisory services firm providing electronic materials information— announced that the global market for …2019-10-03 20:32:29
2019/09/10FabsFab Materials Resilient Despite 2019 Downturn2020 Expected to Resume Steady Growth San Diego, CA, September 10, 2019: TECHCET—the electronic materials advisory services firm providing business …2019-09-10 17:57:40
2019/08/16Uncategorized4th CMC Seminar Focus on Materials QualityQuality Excursions Can Cost Fabs Hundreds of Millions of Dollars The Critical Materials Council (CMC), a unit of TECHCET, announces …2019-08-16 18:05:15
2019/08/14UncategorizedMicron VP Keynote for the 4th CMC SeminarEvent in Taiwan 17 October will feature a keynote from Micron Technologies The Critical Materials Council (CMC) of semiconductor fabricators …2019-08-14 18:51:51
2019/08/07Consumable Equipment ComponentsQuartz Revenues a Positive Sign Amidst Equipment Sales DeclinesSan Diego, CA, August 06, 2019: TECHCET—the advisory services firm providing electronic materials information—announced that the global market for quartz …2019-08-07 18:27:22
2019/07/25UncategorizedLitho Materials Market Growing to $3.2B in 2019 Despite Trade WarsSan Diego, CA, July 22, 2019: TECHCET—the advisory services firm providing electronic materials information— announced that the global market for …2019-07-25 21:01:04
2019/06/20UncategorizedCMP Materials Market Reaches $2.7B Amid Signs of Orders Slowing in 2019San Diego, CA, June 19, 2019: TECHCET—the advisory services firm providing electronic materials information— announced that the global market for …2019-06-20 02:16:03
2019/05/07Uncategorized4th CMC Conference Enabled Critical Information and ConnectionsEvent in Albany area 25-26 April featured GlobalFoundries keynote and presentations May 7, 2019 – San Diego, CA – Over …2019-05-07 20:35:59
2019/04/05UncategorizedChina Fab Materials Trade Facing UncertaintyTariffs and Supply-Chains discussed at SEMICON China San Diego, CA, April 3, 2019: TECHCET—the electronic materials advisory services firm providing …2019-04-05 22:04:25
2019/02/14UncategorizedCritical Materials Business and Technology Information 20194th Annual Critical Materials Council (CMC) Conference April 25-26 in Saratoga Springs, New York a must-attend for business and technology …2019-02-14 21:16:33
2019/01/09UncategorizedSemiconductor Materials Market will be +3% to $50.4B in 2019TECHCET’s Forecast Remains Strong Despite Trade Wars San Diego, CA, January 9, 2019: TECHCET—the electronic materials advisory services firm providing …2019-01-09 20:09:04
2018/11/27UncategorizedCMC and ICMtia Create Historic IC Fab Materials Event in ChinaSAN DIEGO, CA; November 27, 2018: The annual Critical Materials Council (CMC) Seminar held October 29-30, 2018, in Ningbo, China …2018-11-27 21:44:18
2018/09/28Photoresist and AncillariesIC Lithography Materials Market at $6.8B by 2023EUV lithography demand small but strategic San Diego, CA, September 28, 2018: TECHCET—the advisory services firm providing electronic materials information— …2018-09-28 19:26:50
2018/09/21Specialty Cleaning, Uncategorized, Wet ChemicalsIC Specialty Cleaning Materials US$1B Market in 2022Custom chemistry blends for exacting applications San Diego, CA, September 21, 2018: TECHCET—the advisory services firm providing electronic materials information— …2018-09-21 20:42:59
2018/09/07Sputtering TargetsSupply Chain Challenges in $650M Sputtering Target MarketTungsten, Cobalt, and Rare Earth Elements in flux San Diego, CA, September 07, 2018: TECHCET—the advisory services firm providing electronic …2018-09-07 19:45:59
2018/08/13Consumable Equipment ComponentsUS$1B Global Market for Quartz Growing Amidst Fluctuating Lead-timesWafer Shortage affecting Silicon Components Market San Diego, CA, July 25, 2018: TECHCET—the advisory services firm providing electronic materials information— …2018-08-13 17:34:32
2018/07/11Uncategorized2018 CMC Seminar / ICMtia Conference Joint Event in Ningbo, ChinaSAVE THE DATE: October 30-31, 2018 San Diego, CA, July 09, 2018: TECHCET—the advisory services firm providing electronic materials information— …2018-07-11 16:35:21
2018/07/06UncategorizedALD/CVD Precursors Market Reaches $1.3B by 2023Cobalt sources highly strategic through 2023 San Diego, CA, July 3, 2018: TECHCET—the advisory services firm providing electronic materials information— …2018-07-06 16:18:35
2018/06/15UncategorizedFreeman and Tracy Enrich Ranks of TECHCET AnalystsFar-sighted Experts Recently with Gartner and SEMI, respectively TECHCET—the advisory services firm providing electronic materials information— announced that seasoned industry …2018-06-15 09:10:02
2018/06/08UncategorizedOver $40B Fab Materials Market Led by Silicon WafersSilicon wafer revenues grew 21% in 2017 San Diego, CA, June 08, 2018: TECHCET—the advisory services firm providing electronic materials …2018-06-08 12:35:23
2018/01/18UncategorizedCMP Materials Market to Reach $2.4B by 2022Slurry and Pad Sub-Markets both ~6% CAGR San Diego, CA, January 18, 2018: TECHCET—the advisory services firm providing electronic materials …2018-01-18 10:20:43
2017/12/10UncategorizedCMC Conference 2018 Call For PapersSemiconductor manufacturing event to be held April 26–27 in Phoenix, Arizona San Diego, CA: The Critical Materials Council (CMC) of …2017-12-10 22:33:46
2017/04/18Uncategorized2017 Critical Materials Conference – It’s not like any other!For Immediate Release 2017 CMC Conference A Highly Differentiated Program Brought to you by the CMC and TECHCET Register now! …2017-04-18 21:03:24
2016/12/21All, OEMsGermanium Can Take Transistors Where Silicon Can’tNearly 70 years ago, two physicists at Bell Telephone Laboratories—John Bardeen and Walter Brattain—pressed two thin gold contacts into a …2016-12-21 20:56:10
2016/12/08All, China, OEMsChina’s SMIC eyes S. Korea’s Dongbu HiTekOctober 25, 2016: China-based Semiconductor Manufacturing International Corp. is seeking to buy South Korea’s only foundry chipmaker Dongbu HiTek Co., …2016-12-08 20:41:03
2016/10/09All, TechnologyVeeco Announces Reduction in ALD Technology InvestmentsVeeco Announces Reduction in ALD Technology Investments and Guides Third Quarter 2016 Revenue to High End of Guidance Range PLAINVIEW, …2016-10-09 19:25:37
2016/10/03All, FabsNanya to invest NT$50 billion over next 2 years, says presidentTaiwan-based DRAM maker Nanya Technology is looking to invest a total of NT$50 billion (US$1.6 billion) over the next two …2016-10-03 16:29:37
2016/10/03All, FabsSon of SoftBank to Invest $4.6 Bil. in Korea for Next 10 YearsSon Jeong-ui (or Masayoshi Son), chairman of SoftBank in Japan, will invest up to 5 trillion won (US$4.55 billion) in …2016-10-03 16:28:55
2016/09/30All, OEMsQualcomm in Talks to Acquire NXP SemiconductorsQualcomm Inc. is in talks to acquire NXP Semiconductors NV, a deal that likely would cost more than $30 billion …2016-09-30 04:40:09
2016/09/28All, OEMs450mm And Other Emergency MeasuresTalk about boosting wafer sizes from 300mm to 450mm has been creeping back into presentations and discussions at conferences over …2016-09-28 01:47:08
2016/09/12All, FabsGlobalFoundries Rolls Out 12nm FD-SOI ProcessThe key issues of cost and extendibility of an advanced FD-SOI offering are addressed by GlobalFoundries in the following release. …2016-09-12 16:56:23
2016/09/08All, ChinaWestern Digital Urges China to Tread Carefully on Chip AmbitionsWestern Digital Corp. Chief Executive Steve Milligan warned that China’s burgeoning semiconductor industry may flood global markets if the rapid …2016-09-08 18:56:28
2016/08/24AllTaiwan Semiconductor Manufacturing Company Ltd. (NYSE:TSM) Reports Change of $-1058.785 MILLION INTaiwan Semiconductor Manufacturing Company Ltd. (NYSE:TSM) reported a change of -1058.785 million in its assets and liabilities in the fiscal …2016-08-24 19:58:23
2016/08/24All, FabsLaird’s Liquid Cooling Systems and Temperature Controllers Designed for Semiconductor FabricationAug 24, 2016 Global technology leader Laird (LRD: London) has developed custom cooling and temperature control systems for semiconductor fabrication …2016-08-24 19:57:39
2016/08/24All, ChinaTowerJazz and SMIC’s Sales Forecast to Surge in 2016  Total pure-play foundry market expected to jump 9{1c8721459c474d1d5b48ba9ae7120e36de45aa62207fb48292a0a282be55d27f} this year, up from 6{1c8721459c474d1d5b48ba9ae7120e36de45aa62207fb48292a0a282be55d27f} growth in 2015. August 23, 2016 …2016-08-24 19:30:08
2016/08/20All, ChinaChina’s Capital Equipment Market To BoomThe worldwide semiconductor capital equipment market declined 3{1c8721459c474d1d5b48ba9ae7120e36de45aa62207fb48292a0a282be55d27f} last year to $36.53 billion from 2014’s $37.5 billion, but inside China …2016-08-20 19:52:28
2016/08/19All, FabsPraxair, Linde said to be discussing $60 billion mergerPraxair headquarters in Burr Ridge, Illinois. Praxair Inc. is in discussions to combine with Germany’s Linde AG, people familiar with …2016-08-19 19:56:25
2016/08/19All, OEMsApplied soars; KLA’s tools; Firm buys last U.S. wafer maker.Applied Materials reported its results for the third quarter ended July 31. Net sales of $2.82 billion were up 15{1c8721459c474d1d5b48ba9ae7120e36de45aa62207fb48292a0a282be55d27f} …2016-08-19 19:53:36
2016/08/18All, ChinaSouth Korea’s Exports to China Fell for 13th Consecutive MonthSEOUL, KOREA 18 August 2016 – 10:45am Jung Suk-yee The Korea International Trade Association announced on August 17 that South …2016-08-18 19:54:44
2016/08/17All, TechnologyIntel Is Now A Threat To TSMC, GlobalFoundries And SamsungSummary Intel is poised to become the next go-to chip manufacturing service provider for OEMs who need ARM-based processors. The …2016-08-17 19:55:22
2016/08/15All, TechnologyInfineon tops power semiconductor market, followed by TI and ST, after 2.6{1c8721459c474d1d5b48ba9ae7120e36de45aa62207fb48292a0a282be55d27f} decline to $34b in 2015Overall revenue for the power semiconductor market globally fell by 2.6{1c8721459c474d1d5b48ba9ae7120e36de45aa62207fb48292a0a282be55d27f} to $34bn in 2015, due primarily to macroeconomic factors …2016-08-15 16:07:50
2016/08/12All, TechnologyUnlike Intel And TSMC Samsung Electronics’ Semiconductor Investment Expected to Shrink in H2 Year on YearSEOUL, KOREA 10 August 2016 – 11:45am Cho Jin-young According to IC Insights, a market survey organization, in the second …2016-08-12 17:19:57
2016/08/01All, ChinaChinese smelter capacity to continue growing despite a tightening copper concentrates marketCHUNLAN LI 01 AUGUST 2016 Although copper prices have fallen by over 50{1c8721459c474d1d5b48ba9ae7120e36de45aa62207fb48292a0a282be55d27f} since they reached a peak in 2011, …2016-08-01 17:25:45
2016/06/30AllNew Source of Helium Found In Tanzania!“A new approach to gas exploration has discovered a huge helium gas field, which could address the increasingly critical shortage …2016-06-30 18:18:26
2016/05/27All, ChinaAnticipated Impact on NEON: US Tariffs on China Steel Increased FurtherChina is critical to the electronic supply chain, especially for noble gases like NEON.  Reduction in China steel production means …2016-05-27 18:22:27
2016/04/18AllWhat’s happening to Japan’s semiconductor industry?The 2016 global semiconductor market is forecast to decrease by 2.4 percent from the previous year according to the World …2016-04-18 20:24:13

0

Your Cart