Photoresists Revenues to be Up 11% to US$1.9B

TECHCET—the advisory services firm providing electronic materials information—announces that the global market for photoresists needed in commercial semiconductor manufacturing is expected to be worth over US$1.9 billion in 2021. After pandemic-related slowdowns last year, leading semiconductor fabrication lines are now running at full capacities with steady growth expected for the next few years. The fab photoresist market is forecasted to grow 11% year-over-year (Yo) in 2021, as detailed in the latest Critical Materials Report™ (CMR) quarterly update on Photoresists & Ancillaries (see Figure).

With EUV use in commercial IC fabs now expanding from just advanced logic to include dynamic random access memory (DRAM) chips, the forecast for materials demand growth remains aggressive. ASML claimed capacity in 2020 to build 35 NXE:3400 series steppers, and with anticipated assembly efficiency improvements should be able to ship 50 EUV tools in 2021. Estimated EUV resist sales last year doubled to over US$20 million, and extreme growth in demand calls for these strategic materials to be worth over US$200 million in 2025.

Partly due to trade-wars, China’s 14th five-year-plan published at the end of October 2020 includes a priority to strengthen the country’s independent ability to control industrial supply-chains. Many Chinese states are providing significant funding to develop local production of high-tech materials, and Chinese materials suppliers are sampling photoresists, extensions, and ancillary materials.

This report covers the following suppliers: Avantor, BASF, Brewer Science, Dongjin Semichem, Dongwu Fine-Chem, DuPont (formerly Dow), Eastman Chemical, FujiFilm, JSR, Kempur, KMG (Cabot Microelectronics), Merck/EMD, Moses Lake Industries, Nissan Chemical, PhiChem, SACHEM, Shin-Etsu, Soulbrain, Sumitomo, Suntific, Tama Chemical, Tokyo Ohka Kogyo, and Versum.

Purchase Reports Here: TECHCET Photoresist and Ancillaries Reports