2024 Photoresist Market Forecasted to Rise

EUV and KrF Growing as Advanced Logic and Memory Increase

San Diego, CA, August 3, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is expected to rebound in 2024, growing 7% to total US$2.57B, following 2023’s slight downturn of -0.9%. Moving forward, the market is expected to stay strong with a 5-year CAGR of 4.1% for 2022-2027, as explained in TECHCET’s new Lithography Materials Critical Materials Report™. “The fastest-growing photoresist products are EUV and KrF, as both are being used with the introduction of new technologies such as advanced logic and memory,” said Karey Holland, Ph.D., TECHCET’s Chief Strategist.

Photoresist materials used for “legacy” nodes (such as I, G, and KrF/248nm) will also support continued growth of the market. EUV volumes are ramping as companies such as Samsung, TSMC, and Intel shift some processes from ArF and ArFi (193nm & 193nm immersion 193i) to a combination of EUV and 193i. Micron and SK Hynix are expected to follow suit.

.

Increased use of negative tone EUV is driving new trends such as negative tone solvent development and increased pre-wet of the wafers before photoresist application. The growth of EUV negative tone photoresist is also expected to decrease the usage of aqueous developer and edge bead use.

Smaller photoresist companies that support TSMC in Taiwan and Samsung in South Korea are gaining a foothold in local markets due to fab expansions and export restrictions from other international suppliers. Photoresist companies headquartered in Japan currently hold a significant 75-90% share of the photoresist market, though the Japanese government (prior to March 2023) restricted the export of certain photoresist supplies to South Korea and China. While some of these restrictions have been dropped, these geopolitical trade disruptions have pushed along the onshoring of local material suppliers in China, Taiwan, and South Korea.

For more details on the Lithography Materials market trends, supply-chain issues, and supplier profiles on JSR, Shin-Etsu, BASF, Avantor, Brewer Science, Dupont, Eastman, Fujifilm, SACHEM, TOK, Sumitomo and more, go to:
https://techcet.com/product/photoresists-and-photoresist-ancillaries/.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact cmcinfo@techcet.com, +1-480-332-8336, or go to www.techcet.com.