ALD/CVD Precursors – Better Times Ahead

Market expected to rebound with memory pricing recovery San Diego, CA, May 16, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting semiconductor precursor revenues, both for high-ƙ metal dielectrics and low-ƙ dielectrics, to increase in the 2nd half of 2023, rebounding from the current 0% growth rate. The current market flattening is due to reduced memory pricing…

Read More

Silicon Carbide (SiC) Wafer Supply Gets Squeezed

Growing Demand Persists as Silicon Wafers Reach Its Limits San Diego, CA, May 9, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains —is forecasting continued strong growth for silicon carbide (SiC) wafer through 2023, despite the slowdown in the general global economy and other semiconductor materials markets. In 2022, the SiC N-type Wafer Output Market grew ~15% over 2021, totaling…

Read More

US CHIPS Act- Opportunities and Issues for the US semiconductor industry

By Dan Tracy, Sr. Director, TECHCET San Diego, CA, April 13, 2023: Countries around the world view semiconductor manufacturing as vital for economic growth and national security, as devices power all aspects and sectors of the global economy. Across the globe, politicians, government officials, and semiconductor industry leaders deem to revitalize local semiconductor manufacturing through government-initiated programs and subsidies to strengthen or re-shore domestic semiconductor manufacturing. Some examples are…

Read More

New Global Semiconductor Packaging Materials Outlook – US$26 Billion market to approach US$30 Billion by 2027

San Diego, CA, March 30, 2023:  TECHCET and TechSearch International, Inc. recently announced that the market for Semiconductor Packaging Materials totaled US$26.1 billion in revenues for 2022, and is forecasted to approach US$30 billion in 2027. For 2023, packaging materials are expected to decline about -0.6% given the forecasted slowdown in the overall semiconductor industry. Recovery is expected in the second half of 2023, pointing to growth in 2024…

Read More

Electronic Gas Markets Show Minor Contraction on the Heels of Solid Growth

San Diego, CA, March 22, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — reports that the Electronic Gases market segment grew over 8% in 2022, reaching US$6.8 billion in global sales. Going into 2023, TECHCET is expecting a slight contraction of -2% in Electronic Gas revenues due to a slowdown in the overall semiconductor industry, at least through the first…

Read More

Semiconductor Lithography Materials Trending Upwards

2023 economic slowdown to cause a short-term dip on photoresists & ancillaries San Diego, CA, March 7, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting the Semiconductor Lithography materials market to dip slightly, declining -2% in 2023, which follows the downward trends of the overall semiconductor market. This slowdown is expected to be short-lived, as 2024 forecasts indicate…

Read More

2023 CMC Conference to Host Intriguing Lineup of Speakers

Featuring talks on current trends, issues, and new technologies for the semiconductor materials supply chain San Diego, CA, March 1, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — brings to the industry an impressive lineup of speakers at the 8th Annual Critical Materials Council (CMC) Conference from April 18-20 in Round Rock, Texas. This year’s conference agenda highlights presentations from…

Read More

Semiconductor Wet Chemicals 1H2023 Trending Down

Although 200+ new layer chip offerings are expected in 2023 San Diego, CA, February 28, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting the Semiconductor Wet Chemicals market to slow to -0.9% in growth in 2023, as noted in the most recent update to TECHCET’s Wet Chemicals Critical Materials Report™. This slowdown is following downward trends for the…

Read More

CMP Pads & Slurries to Slump with Overall Semiconductor Market in 2023

Supply chain issues easing but still some delays for sub-assemblies and parts San Diego, CA, February 23, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting the Semiconductor CMP materials market to decline around 4% for both pads and slurries in 2023. This decline comes after a year of solid growth in the 2022 CMP segment that reached 3.5B…

Read More

CMP Equipment “Ancillaries” Poised for Growth

Increases Driven by 3DFinFET and X-Stack 3DNAND San Diego, CA, February 15, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — has announced that the market segment for Semiconductor CMP Ancillaries (pad conditioners, CMP rings, filters, and brushes) will reach US$1.55 B by 2027, growing at a CAGR of 6%. However, the market is expected to decline slightly this year and…

Read More

0

Your Cart