Market Research & Supply-Chain Analysis

TECHCET provides Business Intelligence and Analysis on the Electronics and Semiconductor Materials Markets through our annually published Critical Material ReportsTM (CMR). These market research…

Read More

Markets & Competitive Landscaping

TECHCET’S Powerful Insight into the Electronic Materials industry uniquely qualifies competitive analysis and forecasting that is second to none. Our analysts are recognized for…

Read More

IP (Intellectual Property) Development

Expert Witness & IP Work TECHCET’s deep technical knowledge and experience in the Electronic Materials Industry allow them to produce the highest-quality information for…

Read More

M&A / Due Diligence

M & A – Mergers and Acquisition Due Diligence Years of expertise in the semiconductor materials and equipment sector enable TECHCET to identify the…

Read More

Ceramic Fabricated Parts Market – Positive Demand from OEMs and Fabs

Restructured Supply Chain Responding with Investment Plans San Diego, CA, October 18, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— recently announced that the Ceramic Fabricated Parts market for semiconductor fabrication equipment consumables is expected to reach US$2.3 billion in 2022, a 15% jump from US$2.0 billion in 2021. The fabricated ceramic components market is strongly affected by the semiconductor production and demand for wafer fabrication…

Read More

New Tech Ramp for Semiconductor Lithography Materials

High EUV manufacturing and increased layer counts contribute towards growth San Diego, CA, October 11, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— reveals that Extreme Ultraviolet (EUV) applications have resulted in several photoresist technology platforms including Metal Oxide photoresists, Dry Deposited photoresist, and Multitrigger photoresists, all driven by the process technologies required in advanced chip manufacturing. Combined, these resist platforms will up the market to…

Read More

ICPT 2022 Conference Highlights

Industry experts collaborate on new and coming science for CMP San Diego, CA, October 6, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— attended The International Conference on Planarization Technology (ICPT) last week, September 27-29, at the historic Benson hotel, in Portland, Oregon. “The conference is a perfect mix of university and industry experts coming together to understand and advance the science and process of CMP,”…

Read More

CHIPS Act Benefits Rolling Out for Material Companies

Tips for making the most out of government benefits for US semiconductor growth San Diego, CA, October 4, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— has been monitoring the status of the U.S. Chips Act rollout to help semiconductor material companies obtain legislative benefits for new expansions. The funding application process will be announced in February 2023. The fine details are still evolving and may…

Read More

We Haven’t Yet Solved Our Semiconductor Dilemma

By Lita Shon-Roy, President / CEO, TECHCET and Bonnie Glick, Director, Krach Institute for Tech Diplomacy at Purdue Passage of the bipartisan CHIPS and Science Act should alleviate semiconductor chip shortages that have increased prices and reduced supplies of cars, medical devices, and consumer electronics. But it would be premature to declare victory. Russia’s war on Ukraine has squeezed the market for rare gases like helium and neon that…

Read More

CHIPS Act Check List for Materials Companies & Info Slides

San Diego, CA, September 28, 2022 A. Frequently, check on the following websites for information www.chips.gov www.Grants.gov www.federalregister.gov www.Regulations.gov – this site was mentioned at our last meeting though it is not directly connected to CHIPS Act. However, regulations must be taken into consideration when constructing chemical facilities. https://www.commerce.gov (Department of Commerce) – this site is used for news and info on government actions. Also, check out: https://www.commerce.gov/news/blog/2022/09/chips-america-webinar-series You…

Read More

Electronic Wet Chemicals Price Volatility Sparks Concern

Price volatility in chemicals used for semiconductors further strains market San Diego, CA, September 27, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— has witnessed a wide range of price volatility for multiple wet chemical and specialty cleans market segments. This in combination with the high demand across the semiconductor industry is causing concern among chip makers and suppliers alike. TECHCET’s current forecast shows a 6.7%…

Read More

CMP Slurry Suppliers Struggle with Balancing Costs and Increased Demand

San Diego, CA, September 20, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— is closely tracking issues in the critical materials supply chain, including those related to CMP (chemical mechanical planarization) slurries needed for semiconductor production. The CMP slurry supply chain is experiencing strain from limited production capacity in the midst of continued strong demand from chip fabricators. Slurry suppliers are struggling to balance the demands…

Read More

Electroplating Materials – Any Slowdown in Sight?

Advanced logic device expected to drive metal plating market forward San Diego, CA, September 13, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— forecasts the total 2022 IC electroplating (Metal Chemicals) revenues to grow 8.1% to reach US$1,019 million. “A key growth driver for the electroplating market includes increases in interconnect layers in next generation advanced logic device nodes,” states Karey Holland, Ph.D., TECHCET’s Chief Strategist…

Read More

Semiconductor Equipment Consumables – Silicon Parts, in High Demand with Continued Shift Toward Asia

San Diego, CA, September 8, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— reports that the demand for high-purity Silicon Parts for semiconductor manufacturing equipment will continue strong in 2022. This forecast is expected as equipment sales grow and fabs expansions continue to ramp. The Silicon Parts market is expected to top US $900 million, a 10% increase from US $824 million in 2021. TECHCET forecasts…

Read More

0

Your Cart